ƒvƒ‰ƒYƒ}ƒGƒŒƒNƒgƒƒjƒNƒXÜ (Plasma Electronics Award)@ŽóÜŽÒ‚ÌЉîi2003”N`j

 ‘æ21‰ñi2022”N“xj

˜_•¶

m1n

ŽóÜŽÒ
¬’rŒ’A@‰ª‹ÏAŽ›“ˆ˜a•vAˆÉ“¡„mi“Œ‹ž‘åŠwj
˜_•¶–¼
Electric-Field-Induced Coherent Anti-Stokes Raman Scattering of Hydrogen Molecules in Visible Region for Sensitive Field Measurement
ŽGŽ–¼
Physical Review Letters 129, 033202 (2022).
’˜ŽÒ–¼
Takeru Koike, Hitoshi Muneoka, Kazuo Terashima, and Tsuyohito Ito
˜_•¶

m2n

ŽóÜŽÒ
ŽsìŒi‘¾i–¼ŒÃ‰®‘åŠwjCManh Hung Chui“ú–{ƒGƒAƒŠƒL[ƒhjCXŽR½iƒLƒIƒNƒVƒAjC—é–Ø—zi–¼ŒÃ‰®‘åŠwjC”Ñ–ì‘å‹PiƒLƒIƒNƒVƒAjC•Ÿ…—T”ViƒLƒIƒNƒVƒAjCŒIŒ´ˆê²iƒLƒIƒNƒVƒAjC–L“c_Fi–¼ŒÃ‰®‘åŠwj
˜_•¶–¼
Angular Distribution Measurement of High-Energy Argon Neutral and Ion in A 13.56 MHz Capacitively-Coupled Plasma
ŽGŽ–¼
Applied Physics Express 14, 126001 (2021).
’˜ŽÒ–¼
Keita Ichikawa, Manh Hung Chu, Makoto Moriyama, Naoya Nakahara, Haruka Suzuki, Daiki Iino, Hiroyuki Fukumizu, Kazuaki Kurihara, and Hirotaka Toyoda

  

 ‘æ20‰ñi2021”N“xj

˜_•¶

m1n

ŽóÜŽÒ
‘å¼ LiƒŒ[ƒU[ƒeƒbƒNŠ”Ž®‰ïŽÐjAŽRè •¶“¿iŽO•H“d‹@Š”Ž®‰ïŽÐjA ” è Šì˜Yi“ŒŽÅƒGƒlƒ‹ƒM[ƒVƒXƒeƒ€Š”Ž®‰ïŽÐjA ’|‘º «¹Ž÷Aª’à “ÄAÔ’Ë —mi“Œ‹žH‹Æ‘åŠwj
˜_•¶–¼
Measurement of electron temperature and density of atmospheric-pressure non-equilibrium argon plasma examined with optical emission spectroscopy
ŽGŽ–¼
Japanese Journal of Applied Physics 60, 026002 (2021)
’˜ŽÒ–¼
Hiroshi Onishi, Fuminori Yamazaki, Yoshiro Hakozaki, Masaki Takemura, Atsushi Nezu, and Hiroshi Akatsuka
˜_•¶

m2n

ŽóÜŽÒ
²X–Ø Â‘¾A‚“‡ Œ\‰îA‹àŽq r˜Yi“Œ–k‘åŠwj
˜_•¶–¼
Portable Plasma Device for Electric N2O5 Production from Air
ŽGŽ–¼
Industrial & Engineering Chemistry Research 60, 798-801 (2021)
’˜ŽÒ–¼
Shota Sasaki, Keisuke Takashima, and Toshiro Kaneko

  

 ‘æ19‰ñi2020”N“xj

˜_•¶

m1n

ŽóÜŽÒ
‹à Ú_A”Š_ G’mAå“c ‘niŽY‹Æ‹Zp‘‡Œ¤‹†Šj
˜_•¶–¼
Low-Temperature Graphene Growth by Forced Convection of Plasma-Excited Radicals
ŽGŽ–¼
Nano Letters 19, 739 (2019)
’˜ŽÒ–¼
Jaeho Kim, Hajime Sakakita, and Hiromoto Itagaki
˜_•¶

m2n

ŽóÜŽÒ
²“¡ DOAŽÄ“c ‘iƒpƒiƒ\ƒjƒbƒNŠ”Ž®‰ïŽÐjAè•” Œpˆê˜YA]—˜Œû _“ñi‹ž“s‘åŠwj
˜_•¶–¼
Evaluation of residual defects created by plasma exposure of Si substrates using vertical and lateral pn junctions
ŽGŽ–¼
Journal of Vacuum Science and Technology B38, 012205 (2020)
’˜ŽÒ–¼
Yoshihiro Sato, Satoshi Shibata, Keiichiro Urabe, and Koji Eriguchi

  

 ‘æ18‰ñi2019”N“xj

˜_•¶

m1n

ŽóÜŽÒ
‹v•ÛˆäMsiƒ\ƒj[ƒZƒ~ƒRƒ“ƒ_ƒNƒ^ƒ\ƒŠƒ…[ƒVƒ‡ƒ“ƒYŠ”Ž®‰ïŽÐjA’C–¤“N–çiƒ\ƒj[ƒZƒ~ƒRƒ“ƒ_ƒNƒ^ƒ\ƒŠƒ…[ƒVƒ‡ƒ“ƒYŠ”Ž®‰ïŽÐjA¬’¬ AŽRì^–íiƒ\ƒj[ƒZƒ~ƒRƒ“ƒ_ƒNƒ^ƒ\ƒŠƒ…[ƒVƒ‡ƒ“ƒYŠ”Ž®‰ïŽÐj
˜_•¶–¼
Insights into different etching properties of continuous wave and atomic layer etching processes for SiO2 and Si3N4 films using voxel-slab mode
ŽGŽ–¼
Journal of Vacuum Science & Technology A 37, 051004 (2019)
’˜ŽÒ–¼
Nobuyuki Kuboi, Tetsuya Tatsumi, Jun Komachi, and Shinya Yamakawa
˜_•¶

m2n

ŽóÜŽÒ
‘呺ŒõLiƒLƒIƒNƒVƒAŠ”Ž®‰ïŽÐjA‹´–{“ÕˆêiƒLƒIƒNƒVƒAŠ”Ž®‰ïŽÐjA‘«—§V‘ñiƒLƒIƒNƒVƒAŠ”Ž®‰ïŽÐjA‹ß“¡—S‰îiƒLƒIƒNƒVƒAŠ”Ž®‰ïŽÐjAÎ쟘NiƒLƒIƒNƒVƒAŠ”Ž®‰ïŽÐjAˆ¢•”~ŽqiƒLƒIƒNƒVƒAŠ”Ž®‰ïŽÐjAŽðˆäˆÉ“sŽqiƒLƒIƒNƒVƒAŠ”Ž®‰ïŽÐjA—Ñ ‹v‹MiƒLƒIƒNƒVƒAŠ”Ž®‰ïŽÐjAŠÖª ½i–¼ŒÃ‰®‘åŠwjA–x Ÿi–¼ŒÃ‰®‘åŠwj
˜_•¶–¼
Formation mechanism of sidewall striation in high-aspect-ratio hole etching
ŽGŽ–¼
Japanese Journal of Applied Physics 58, SEEB02 (2019)
’˜ŽÒ–¼
itsuhiro Omura, Junichi Hashimoto, Takahiro Adachi, Yusuke Kondo, Masao Ishikawa, Junko Abe, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine, and Masaru Hori

 

 ‘æ17‰ñi2018”N“xj

˜_•¶

m1n

ŽóÜŽÒ
•z‘º ³‘¾iŽY‹Æ‹Zp‘‡Œ¤‹†ŠjAâ“c Œ÷iŽY‹Æ‹Zp‘‡Œ¤‹†ŠjA¼Œ´ _ŽiiŽY‹Æ‹Zp‘‡Œ¤‹†Šj
˜_•¶–¼
Plasma-Induced Electronic Defects: Generation and Annihilation Kinetics in Hydrogenated Amorphous Silicon
ŽGŽ–¼
Physical Review Applied, 10, 054006 (2018)
’˜ŽÒ–¼
Shota Nunomura, Isao Sakata, and Koji Matsubara
˜_•¶

m2n

ŽóÜŽÒ
‘呺 ŒõLi“ŒŽÅƒƒ‚ƒŠ(Š”)jAŒÃ–{ ˆêmi“ŒŽÅƒƒ‚ƒŠ(Š”)jA¼“c ˜a‹vi“ŒŽÅƒƒ‚ƒŠ(Š”)jA²X–Ø rsi“ŒŽÅƒƒ‚ƒŠ(Š”)jAŽðˆä ˆÉ“sŽqi“ŒŽÅƒƒ‚ƒŠ(Š”)jA—Ñ ‹v‹Mi“ŒŽÅƒƒ‚ƒŠ(Š”)j
˜_•¶–¼
Layer-by-layer etching of LaAlSiOx
ŽGŽ–¼
Plasma Sources Science and Technology, 26, 065015 (2017)
’˜ŽÒ–¼
Mitsuhiro Omura, Kazuhito Furumoto, Kazuhisa Matsuda, Toshiyuki Sasaki, Itsuko Sakai and Hisataka Hayashi

 

 ‘æ16‰ñi2017”N“xj

˜_•¶

m1n

ŽóÜŽÒ
¼ŽR C•ãi–kŠC“¹‘åŠwjA’†–ì Ž¡‹viŠj—Z‡‰ÈŠwŒ¤‹†ŠjAŒã“¡ ŠîŽuiŠj—Z‡‰ÈŠwŒ¤‹†ŠjA²X–Ø _ˆêi–kŠC“¹‘åŠwj
˜_•¶–¼
Stark spectroscopy at Balmer-ƒ¿ line of atomic hydrogen for measuring sheath electric field in a hydrogen plasma
ŽGŽ–¼
Journal of Physics D: Applied Physics, 50, 234003 (2017)
’˜ŽÒ–¼
Shusuke Nishiyama, Haruhisa Nakano, Motoshi Goto, and Koichi Sasaki
˜_•¶

m2n

ŽóÜŽÒ
•x“c Œ’‘¾˜Yi‹ãB‘åŠwjA²“¡ —S‘¾i‹ãB‘åŠwjA’zŽR »ˆêi‹ãB‘åŠwjA]Œû Žõ–¾i‹ãB‘åŠwjA“à–ì Šìˆê˜Yi‹ãB‘åŠwjA_‰Æ Kˆê˜YiƒMƒKƒtƒHƒgƒ“Š”Ž®‰ïŽÐjAŒËŽº Œ[–¾iƒMƒKƒtƒHƒgƒ“Š”Ž®‰ïŽÐjAaŒû ŒviƒMƒKƒtƒHƒgƒ“Š”Ž®‰ïŽÐjA»Œ´ ~iPurdue ‘åŠwjA¼Œ´ Œ÷Ci‘åã‘åŠwj
˜_•¶–¼
Time-resolved two-dimensional profiles of electron density and temperature of laser-produced tin plasmas for extreme-ultraviolet lithography light sources
ŽGŽ–¼
Scientific Reports, 7, 12328 (2017)
’˜ŽÒ–¼
Kentaro Tomita, Yuta Sato, Syouichi Tsukiyama, Toshiaki Eguchi, Kiichiro Uchino, Kouichiro Kouge, Hiroaki Tomuro, Tatsuya Yanagida, Yasunori Wada, Masahito Kunishima, Georg Soumagne, Takeshi Kodama, Hakaru Mizoguchi, Atsushi Sunahara, and Katsunobu Nishihara

 

‘æ15‰ñi2016”N“xj

˜_•¶

m1n

ŽóÜŽÒ
™Z‹Ê ’¼li‹à‘ò‘åŠwjA“c’† N‹Ki‹à‘ò‘åŠwjA–k Œ’‘¾˜Yi’†•”“d—ÍjAã™ Šì•Fi‹à‘ò‘åŠwjAΓ‡ ’B•vi‹à‘ò‘åŠwjA“nç² Žüi“ú´»•²ƒOƒ‹[ƒv–{ŽÐjA’†‘º Œ\‘¾˜Yi“ú´»•²ƒOƒ‹[ƒv–{ŽÐj
˜_•¶–¼
A method for large-scale synthesis of Al-doped TiO2 nanopowder using pulse-modulated induction thermal plasmas with time-controlled feedstock feeding
ŽGŽ–¼
Journal of Physics D: Appl. Phys., 47,195304 (2014)
’˜ŽÒ–¼
Naoto Kodama, Yasunori Tanaka,Kentaro Kita, Yoshihiko Uesugi,Tatsuo Ishijima, Shu Watanabe and Keitaro Nakamura
˜_•¶

m2n

ŽóÜŽÒ
²X–Ø Â‘¾i“Œ–k‘åŠwjA_è “Wi“Œ–k‘åŠwjA‹àŽq r˜Yi“Œ–k‘åŠwj
˜_•¶–¼
Calcium influx through TRP channels induced by short-lived reactive species in plasma-irradiated solution
ŽGŽ–¼
Scientific Reports, 6, 25728 (2016)
’˜ŽÒ–¼
Shota Sasaki, Makoto Kanzaki and Toshiro Kaneko

 ‘æ14‰ñi2015”N“xj

˜_•¶

m1n

ŽóÜŽÒ
”Š_ “Þ•ä (‹ãB‘åŠw)A¼“‡ Gˆê (‹ãB‘åŠw)AŽR‰º ‘å•ã (‹ãB‘åŠw)A™ çé—Y (‹ãB‘åŠw)AŒÃŠÕ ˆêŒ› (‹ãB‘åŠw)A”’’J ³Ž¡ (‹ãB‘åŠw)
˜_•¶–¼
Synthesis and Characterization of ZnInON Semiconductor: a ZnO-based Compound with Tunable Band Gap
ŽGŽ–¼
Materials Research Express 1, 036405 (2014)
’˜ŽÒ–¼
Naho Itagaki, Koichi Matsushima, Daisuke Yamashita, Hyunwoong Seo, Kazunori Koga, and Masaharu Shiratani
˜_•¶

m2n

ŽóÜŽÒ
‹T“‡ îŒá (“Œ‹žH‹Æ‘åŠw)A“c‘º šõŽu˜N (“Œ‹žH‹Æ‘åŠw)A΋´ —T‘¾˜Yi“ú´»•²(Š”)jA–ìè ’q—m (“Œ‹žH‹Æ‘åŠw)
˜_•¶–¼
Pulsed dry methane reforming in plasma-enhanced catalytic reaction
ŽGŽ–¼
Catalysis Today, 256, 67 (2015)
’˜ŽÒ–¼
Seigo Kameshima, Keishiro Tamura, Yutaro Ishibashi, and Tomohiro Nozaki

 

‘æ13‰ñi2014”N“xj

˜_•¶

m1n

ŽóÜŽÒ
–{ŠÔ Œ[ˆê˜Yi–{“c‹ZŒ¤H‹ÆjC_Œ´ ~i“Œ‹ž‘åŠwj
˜_•¶–¼
High throughput production of nanocomposite SiO2 powders by plasma spray physical vapor deposition for negative electrode of lithium ion batteries
ŽGŽ–¼
Sci. Technol. Adv. Mater., 15, 025006 (2014)
’˜ŽÒ–¼
Keiichiro Homma, Makoto Kambara and Toyonobu Yoshida
˜_•¶

m2n

ŽóÜŽÒ
‹v•Ûˆä Msiƒ\ƒj[iŠ”jjC’C–¤ “N–çiƒ\ƒj[iŠ”jjC[‘ò ³‰iiƒ\ƒj[iŠ”jjC–؉º —²iƒ\ƒj[iŠ”jjC¬’¬ iƒ\ƒj[iŠ”jjCˆÀâV ‹v_iƒ\ƒj[iŠ”jj
˜_•¶–¼
Effect of open area ratio and pattern structure on fluctuations in critical dimension and Si recess
ŽGŽ–¼
J. Vac. Sci. Technol., A31, 061304 (2013)
’˜ŽÒ–¼
Nobuyuki Kuboi, Tetsuya Tatsumi, Masanaga Fukasawa, Takashi Kinoshita, Jun Komachi, Hisahiro Ansai and Hiroyuki Miwa

 

‘æ12‰ñi2013”N“xj

˜_•¶

m1n

ŽóÜŽÒ
‰Á“¡rŒ°i“Œ–k‘åŠwjC”©ŽR—ÍŽOi“Œ–k‘åŠwj
˜_•¶–¼
Site- and alignment-controlled growth of graphene nanoribbons from nickel nanobars
ŽGŽ–¼
Nature Nanotechnol. 7, 651 (2012)
’˜ŽÒ–¼
T. Kato and R. Hatakeyama
˜_•¶

m2n

ŽóÜŽÒ
‹v•ÛˆäMsiƒ\ƒj[iŠ”jjC’C–¤“N–çiƒ\ƒj[iŠ”jjC¬—ѳŽ¡iƒ\ƒj[iŠ”jjC–؉º—²iƒ\ƒj[iŠ”jjC¬’¬iƒ\ƒj[iŠ”jjC[‘ò³‰iiƒ\ƒj[iŠ”jjCˆÀÖ‹v_iƒ\ƒj[iŠ”jj
˜_•¶–¼
Modeling and simulation of plasmainduced damage distribution during hole etching of SiO2 over Si substrate by fluorocarbon plasma
ŽGŽ–¼
Appl. Phys. Express, 5, 126201 (2012)
’˜ŽÒ–¼
N. Kuboi, T. Tatsumi, S. Kobayashi, T. Kinoshita, J. Komachi, M. Fukasawa and H. Ansai

 

‘æ11‰ñi2012”N“xj

˜_•¶

m1n

ŽóÜŽÒ
Îì Œ’Ž¡(–¼ŒÃ‰®‘åŠw)C˜hŒ©’¼–ç(“Œ–MƒKƒX)C‰Í–ì º•F(‹à‘òH‹Æ‘åŠw)C–xç² ‰p•v(‹à‘òH‹Æ‘åŠw)C’|“c Œ\Œá(–¼ŒÃ‰®‘åŠw)C‹ß“¡ ”ŽŠî(–¼ŒÃ‰®‘åŠw)CŠÖª ½(–¼ŒÃ‰®‘åŠw)C–x Ÿ(–¼ŒÃ‰®‘åŠw)
˜_•¶–¼
Synergistic Formation of Radicals by Irradiation with both Vacuum Ultraviolet and Atomic Hydrogen: a Real-time in situ Electron Spin Resonance Study
ŽGŽ–¼
J. Phys. Chem. Lett. 2, 1278-1281 (2011)
’˜ŽÒ–¼
Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
˜_•¶

m2n

ŽóÜŽÒ
ˆÉ“¡ ’qŽq(‘åã‘åŠw)C“‚‹´ ˆê_(‘åã‘åŠw)C[‘ò ³‰i(ƒ\ƒj[(Š”))C’C–¤ “N–ç(ƒ\ƒj[(Š”))C•lŒû ’qŽu(‘åã‘åŠw)
˜_•¶–¼
Si Recess of Polycrystalline Silicon Gate Etching: Damage Enhanced by Ion Assisted Oxygen Diffusion
ŽGŽ–¼
Jpn. J. Appl. Phys. 50, 08KD02 (5pp) (2011)
’˜ŽÒ–¼
Tomoko Ito, Kazuhiro Karahashi, Masanaga Fukasawa, Tetsuya Tatsumi, and Satoshi Hamaguchi

 

‘æ10‰ñi2011”N“xj

˜_•¶
ŽóÜŽÒ
æâ@‰n i‰Í“ì”_‹Æ‘åŠwA’†‘jC‰¬–ì@–¾‹v iɪ‘åŠwjC‰i’Ã@‰ëÍ iɪ‘åŠwj
˜_•¶–¼
Effects of N2-O2 Gas Mixture Ratio on Microorganism Inactivation in Low-@Pressure Surface Wave Plasma
ŽGŽ–¼
Japanese Journal of Applied Physics 50 (2011) 08JF05
’˜ŽÒ–¼
Ying Zhao, Akihisa Ogino, and Masaaki Nagatsu

 

 

‘æ‚X‰ñi2010”N“xj

˜_•¶

m1n

ŽóÜŽÒ
’‡‘ºŒb‰EiŽO•H“d‹@jCà_“c‘å•ã(P&GƒWƒƒƒpƒ“)Cã“c‹`–@(ìèdH)C]—˜Œû_“ñi‹ž“s‘åŠwjC•€‚ˆêi‹ž“s‘åŠwj
˜_•¶–¼
Selective Etching of High-k Dielectric HfO2 Films over Si in BCl3-Containing Plasmas without rf Biasing
ŽGŽ–¼
Applied Physics Express 2, 016503 (2009)
’˜ŽÒ–¼
K. Nakamura, D. Hamada, Y. Ueda, K. Eriguchi and K. Ono
˜_•¶

m2n

ŽóÜŽÒ
“à“c‹Vˆê˜Yi‹ãB‘åŠwjC“à“c—@iŽñ“s‘åŠw“Œ‹žjCHŽR—˜KiŽŸ¢‘ãPDPŠJ”­ƒZƒ“ƒ^[jC
ŠŽR”ŽŽiiL“‡‘åŠwjCŽÂ“c™B(L“‡‘åŠw)
˜_•¶–¼
Effect of high Xe-concentration in a plasma display panel with a SrCaO cold cathode
ŽGŽ–¼
Journal of Applied Physics 107, 103311 (2010)
’˜ŽÒ–¼
G. Uchida, S. Uchida, T. Akiyama, H. Kajiyama and T. Shinoda

 

‘æ‚W‰ñi2009”N“xj

˜_•¶
ŽóÜŽÒ
w“à˜ÅèÁi“Œ–k‘åŠwjCÜ“c•qKiOKIƒZƒ~ƒRƒ“ƒ_ƒNƒ^‹{éjC‹´–{i“Œ‹žƒGƒŒƒNƒgƒƒ“‹ZpŒ¤‹†ŠjC
Žs‹´—R¬iŽO—m“d‹@jC‘å’|_li“Œ–k‘åŠwjCŠ¦ì½“ñi“Œ–k‘åŠwj
˜_•¶–¼
On-wafer monitoring of charge accumulation and sidewall conductivity in high-aspect-ratio contact holes during SiO2 etching process
ŽGŽ–¼
Journal of Vacuum Science and Technology, Vol. B25, No. 6, (2007) pp. 1808-1813
’˜ŽÒ–¼
B. Jinnai, T. Orita, M. Konishi, J. Hashimoto, Y. Ichihashi, A. Nishitani, S. Kadomura,, H. Ohtake, S. Samukawa

 

‘æ‚V‰ñi2008”N“xj

˜_•¶

m1n

ŽóÜŽÒ
”©ŽR—ÍŽO(“Œ–k‘åŠw)C‹àŽqr˜Y(“Œ–k‘åŠw)C—›‰i•ô(“Œ–k‘åŠw)C‰Á“¡rŒ°(“Œ–k‘åŠw)C”nê˜a•F(“Œ–k‘åŠw)C‰ª“cŒ’(“Œ–k‘åŠw)
˜_•¶–¼
Single-stranded DNA insertion into single-walled carbon nanotube by ion irradiation in an electrolyte plasma
ŽGŽ–¼
Japanese Journal of Applied Physics, 45 (2006) 8335-8339.
’˜ŽÒ–¼
T. Okada, T. Kaneko, R. Hatakeyama
˜_•¶

m2n

˜_•¶–¼
Novel-structured carbon nanotubes creation by nanoscopic plasma control
ŽGŽ–¼
Plasma Sources Science and Technology 17 (2008) 024009 (11 pages)
’˜ŽÒ–¼
R. Hatakeyama, T. Kaneko, W. Oohara, Y. F. Li, T. Kato, K. Baba, J. Shishido

‘æ‚U‰ñi2007”N“xj

˜_•¶
ŽóÜŽÒ
Ž›“ˆ˜a•v(“Œ‹ž‘åŠw)C☋‚–¾(“Œ‹ž‘åŠw)C•Ð•½Œ¤(“Œ‹ž‘åŠw)C‹v•ÛGä(“Œ‹ž‘åŠw)C´…’õŽ÷(ŽY‹Æ‹Zp‘‡Œ¤‹†Š)C²X–Ø‹B(ŽY‹Æ‹Zp‘‡Œ¤‹†Š)C‰zè’¼l(ŽY‹Æ‹Zp‘‡Œ¤‹†Š)
˜_•¶–¼
Carbon materials syntheses using dielectric barrier discharge microplasma in supercritical carbon dioxide environments
ŽGŽ–¼
The Journal of Supercritical Fluids 41 (2007) 404-411.
’˜ŽÒ–¼
Takaaki Tomai, Ken Katahira, Hirotake Kubo, Yoshiki Shimizu, Takeshi Sasaki, Naoto Koshizaki, Kazuo Terashima

‘æ‚T‰ñi2006”N“xj

˜_•¶
ŽóÜŽÒ
@‹{‹Åi–¼ŒÃ‰®‘åŠwj, –L“c_Fi–¼ŒÃ‰®‘åŠwj, –x“c–F•Fi–¼ŒÃ‰®‘åŠwj, ›ˆäG˜Yi–¼ŒÃ‰®‘åŠw, Œ»@’†•”‘åŠwj
˜_•¶–¼
Suppression of oxygen impurity incorporation into silicon films prepared from surface-wave excited H2/SiH4 plasma
ŽGŽ–¼
Japanese Journal of Applied Physics, 43 (2004) 7696-7700.
’˜ŽÒ–¼
S. Somiya, H. Toyoda, Y. Hotta, H. Sugai

‘æ‚S‰ñi2005”N“xj

˜_•¶
ŽóÜŽÒ
Žðˆä@“¹i‹ž“s‘åŠwjCâŒû‘ñ¶i‹ž“s‘åŠwjCˆÉ“¡—z‰îi‹ž“s‘åŠwjC‹k@–M‰pi‹ž“s‘åŠwj
˜_•¶–¼
Interaction and control of millimeter-waves with microplasma arrays
ŽGŽ–¼
Plasma Physics and Controlled Fusion, Vol. 47 (2005) B617-B627.
’˜ŽÒ–¼
O.Sakai, T. Sakaguchi, Y. Ito and K. Tachibana

‘æ‚R‰ñi2004”N“xj

˜_•¶

m1n

ŽóÜŽÒ
ŒÃŠÕˆêŒ›i‹ãB‘åŠwjCb”㊲‰piŽO—m“d‹@jC”’’J³Ž¡i‹ãB‘åŠwjC“n•Óª•vi‹ãB‘åŠwjCŽ­’J¸i•Ÿ‰ªH‹Æ‘åŠwj
˜_•¶–¼
Cluster-suppressed plasma chemical vapor deposition metbod for high quality hydrogenated amorphous silicon films
ŽGŽ–¼
Japanese Journal of Applied Physics, Vol. 41(2002) pp.L168-L170 (Express Letters)
’˜ŽÒ–¼
Kazunori Koga, Motohide Kai, Masaharu Shiratani, Yukio Watanabe (Kyushu University); Noboru Shikatani (Fukuoka Institute of Technology)
˜_•¶

m2n

ŽóÜŽÒ
”ª–ØàV‘ìiŒcœä‹`m‘åŠwjC‘Od˜aLiˆ®ÉŽqjC“‡“c‘ìiŒcœä‹`m‘åŠwjC^•Ç—˜–¾iŒcœä‹`m‘åŠwj
˜_•¶–¼
Prediction of radial variation of plasma structure and ion distribution in the wafer interface in two-frequency capacitively coupled plasma
ŽGŽ–¼
IEEE Transaction on Plasma Science Vo1. 32(2004) pp.90-100 (Invited Review Paper)
’˜ŽÒ–¼
Takashi Yagisawa, Kazunobu Maeshige,Takashi Shimada and Toshiaki Makabe (Keio University)

‘æ‚Q‰ñi2003”N“xj

˜_•¶

m1n

ŽóÜŽÒ
ŽR“c_•¶i“Œ—m‘åŠwjC‰ª–{K—Yi“Œ—m‘åŠwj
˜_•¶–¼
Characteristics of a high-power microwave-induced helium plasma at atmospheric pressure for the determination of nonmetals in aqueous solution
ŽGŽ–¼
Applied Spectroscopy, Vol. 55, No. 2, pp. 114-119 (2001)
’˜ŽÒ–¼
Hirofumi Yamada and Yukio Okamoto (Toyo University)
˜_•¶

m2n

ŽóÜŽÒ
¬¼³“ñ˜Yi•¨Ž¿Œ¤‹†ŠjD‘q“ˆŒhŽŸi•¨Ž¿Œ¤‹†ŠjD‰ª“cŸsi•¨Ž¿Œ¤‹†ŠjDŽO—FŒìi•¨Ž¿Œ¤‹†ŠjDŽç‹g—C‰îi–@­‘åŠwjD´…’õŽ÷iŽY‹Æ‹Zp‘‡Œ¤‹†ŠjD”’’J³Ž¡i‹ãB‘åŠwjD’†–ìrŽ÷i–h‰q‘åŠwjDŠ¦ì½“ñi“Œ–k‘åŠwj
˜_•¶–¼
Highly crystalline 5H-polytype of sp3-bonded boron nitride prepared by plasma-packets-assisted pulsed-laser depositon: An ultraviolet light emitter at 225 nm
ŽGŽ–¼
Applied Physics Letters, Vol. 81, No. 24, pp. 4547-4549 (2002)
’˜ŽÒ–¼
Shojiro Komatsu, Keiji Kurashima, Hisao Kanda, Katsuyuki Okada, and Mamoru Mitomo (National Institute for Material Science); Yusuke Moriyoshi (Hosei University); Yoshiki Shimizu (National Institute of Advanced Industrial Science and Technology); Masaharu Shiratani (Kyushu University); Toshiki Nakano(National Defense Academy); Seiji Samukawa (Tohoku University)

‘æ‚P‰ñi2002”N“xj

˜_•¶
ŽóÜŽÒ
‚“‡¬„i“ú–{ƒŒ[ƒU“dŽqjC–xŸi–¼ŒÃ‰®‘åŠwjCŒã“¡r•vi–¼ŒÃ‰®‘åŠwjC‰Í–ì–¾œAi–¼ŒÃ‰®‘åŠwjC•Ä“cŸ›‰i“ú–{ƒŒ[ƒU“dŽqjC‘½“cd˜aiITXƒC[EƒOƒ[ƒoƒŒƒbƒWjCˆÉ“¡¹•¶i˜a‰ÌŽR‘åŠwjC•lŠ_Šwi—‰»ŠwŒ¤‹†Šj
˜_•¶–¼1
Absolute concentration and loss kinetics of hydrogen atom in methane and hydrogen plasmas
ŽGŽ–¼1
Journal of Applied Physics, Vol. 90, No. 11, pp. 5497-5503 (2001)
’˜ŽÒ–¼1
Seigou Takashima, Masaru Hori, Toshio Goto, Akihiro Kono, Katsumi Yoneda (Nagoya University); Katsumi Yoneda (Nippon Laser & Electronics LAB.)
˜_•¶–¼2
Investigation of nitrogen atoms in low-pressure nitrogen plasmas using a compact electron-beam-excited plasma source
ŽGŽ–¼2
Japanese Journal of Applied Physics, Vol. 41, No. 7(A), pp. 4691-4695 (2002)
’˜ŽÒ–¼2
Shigekazu Tada, Seigou Takashima (Nagoya University); Masafumi Ito (Wakayama University); Manabu Hamagaki (RIKEN); Masaru Hori, Toshio Goto (Nagoya University)